eefer / DE2115_MultiLockins

GNU Lesser General Public License v3.0
2 stars 1 forks source link

unable to compile : Qsys undefined entities #1

Open strongsaurabh opened 6 years ago

strongsaurabh commented 6 years ago

We think @arya1080 forgot to commit the updated Qsys files; error log below:

Error (12006): Node instance "dac_gain" instantiates undefined entity "qsys_system_dac_gain". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. Error (12006): Node instance "lia_1_x" instantiates undefined entity "qsys_system_lia_1_x". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. Error (12006): Node instance "lia_1_y" instantiates undefined entity "qsys_system_lia_1_x". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. Error (12006): Node instance "nco_freq_ctrl_3" instantiates undefined entity "qsys_system_nco_freq_ctrl_3". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. Error (12006): Node instance "nco_freq_ctrl_4" instantiates undefined entity "qsys_system_nco_freq_ctrl_3". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. Error (12006): Node instance "nco_freq_ctrl_5" instantiates undefined entity "qsys_system_nco_freq_ctrl_3". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. Error (12006): Node instance "nco_freq_ctrl_6" instantiates undefined entity "qsys_system_nco_freq_ctrl_3". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. Error (12006): Node instance "nco_freq_ctrl_7" instantiates undefined entity "qsys_system_nco_freq_ctrl_3". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. Error (12006): Node instance "nco_freq_ctrl_8" instantiates undefined entity "qsys_system_nco_freq_ctrl_3". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. Error (12006): Node instance "nco_phase_ctrl_1" instantiates undefined entity "qsys_system_nco_freq_ctrl_3". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. Error (12006): Node instance "nco_phase_ctrl_2" instantiates undefined entity "qsys_system_nco_freq_ctrl_3". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. Error (12006): Node instance "nco_phase_ctrl_3" instantiates undefined entity "qsys_system_nco_freq_ctrl_3". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. Error (12006): Node instance "nco_phase_ctrl_4" instantiates undefined entity "qsys_system_nco_freq_ctrl_3". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. Error (12006): Node instance "nco_phase_ctrl_5" instantiates undefined entity "qsys_system_nco_freq_ctrl_3". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. Error (12006): Node instance "nco_phase_ctrl_6" instantiates undefined entity "qsys_system_nco_freq_ctrl_3". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. Error (12006): Node instance "nco_phase_ctrl_7" instantiates undefined entity "qsys_system_nco_freq_ctrl_3". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. Error (12006): Node instance "nco_phase_ctrl_8" instantiates undefined entity "qsys_system_nco_freq_ctrl_3". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. Error: Quartus Prime Analysis & Synthesis was unsuccessful. 17 errors, 91 warnings Error: Peak virtual memory: 981 megabytes Error: Processing ended: Wed Dec 27 15:08:55 2017 Error: Elapsed time: 00:00:29 Error: Total CPU time (on all processors): 00:00:26 Error (293001): Quartus Prime Full Compilation was unsuccessful. 19 errors, 91 warnings

arya1080 commented 6 years ago

This is a very simple fix. You just had to click on the Generate HDL button on the Qsys system. This error was being thrown most probably because the HDL file got modified somehow and the new HDL file was not generated after those modifications. That's why Quartus was thinking those entities were undefined.