efabless / caravel-gf180mcu

This repository is the GF180MCU port of Caravel. For more information about Caravel, see the original repo at https://github.com/efabless/caravel.
Apache License 2.0
7 stars 8 forks source link

Fixed the instance naming in gpio_defaults_block views #134

Closed RTimothyEdwards closed 1 year ago

RTimothyEdwards commented 1 year ago

Fixed the instance naming in gpio_defaults_block (and the three variants) to match the pins---this is necessary for the gen_gpio_defaults.py script to modify the correct bits for the top three bits in the 10-bit configuration. Regenerated views for .mag, DEF, LEF, and GDS (LEF is not affected by this change, but the LEF view is slightly different due to a PDK update since the LEF was generated, so it is being updated as well). Removed some unused files in the mag/ directory that should not have been there (such as a core dump file and a zero-length GDS file).