efabless / caravel-gf180mcu

This repository is the GF180MCU port of Caravel. For more information about Caravel, see the original repo at https://github.com/efabless/caravel.
Apache License 2.0
7 stars 8 forks source link

synchronize GPIO input #156

Open jeffdi opened 1 year ago

jeffdi commented 1 year ago

affects IRQ

M0stafaRady commented 1 year ago

Pins 7 and 12 are used as external IRQ signal when configured using housekeeping register. The pins aren't synchronized and the IRQ signal goes to the SOC without any clock synchronization.