efabless / caravel-gf180mcu

This repository is the GF180MCU port of Caravel. For more information about Caravel, see the original repo at https://github.com/efabless/caravel.
Apache License 2.0
7 stars 8 forks source link

improve reset deassertion synchronizer for next caravel - sky and gf #158

Open jeffdi opened 1 year ago

M0stafaRady commented 1 year ago

The issue happened when the power on reset PoR signal deasserted within the time window of the hold or setup violations. That causes X's to progress all over the design and that doesn't get fixed after the 3 stage reset synchronizer fixes the reset. More likely this is a simulator problem as the synchronizer eventually fixes the value but the simulator isn't sensitive to edge transition x to 1.

jeffdi commented 11 months ago

Needs careful review.