efabless / caravel-gf180mcu

This repository is the GF180MCU port of Caravel. For more information about Caravel, see the original repo at https://github.com/efabless/caravel.
Apache License 2.0
7 stars 8 forks source link

Added bump bond mask scripts and data #178

Closed RTimothyEdwards closed 7 months ago

RTimothyEdwards commented 1 year ago

Added scripts, layout, and GDS for building out the bump bond mask data for Micross. Note that this does not include alignment marks; if the information for alignment marks gets provided by GF, then this data set will get updated. Final data to send to Micross is gds/caravel_bump_reticle.gds.gz (probably needs to be uncompressed before sending to Micross). IMPORTANT NOTE: Have not looked at reticle data from GF. Need to confirm direction of rotation of Caravel chips in the slots. Assuming clockwise 90 degrees. Micross wants metal 5 and glass cut data from the GF reticle if we can obtain and prepare it. Should check against the bump bond GDS before sending to Micross.