efabless / caravel-gf180mcu

This repository is the GF180MCU port of Caravel. For more information about Caravel, see the original repo at https://github.com/efabless/caravel.
Apache License 2.0
7 stars 8 forks source link

Change gpio defaults aspect #30

Closed RTimothyEdwards closed 1 year ago

RTimothyEdwards commented 1 year ago

Makes corrections to both the gpio_defaults_block and user_id_programming which should make both easier to use in the place and route environment.