efabless / caravel-gf180mcu

This repository is the GF180MCU port of Caravel. For more information about Caravel, see the original repo at https://github.com/efabless/caravel.
Apache License 2.0
7 stars 8 forks source link

gpio_configure registers have incorrect size #57

Closed M0stafaRady closed 1 year ago

M0stafaRady commented 1 year ago

The gpio_configure register reading bits are 13 bits however the they are only 10 bits and the read value has 3 X's at the end.