efabless / caravel-gf180mcu

This repository is the GF180MCU port of Caravel. For more information about Caravel, see the original repo at https://github.com/efabless/caravel.
Apache License 2.0
7 stars 8 forks source link

! generate gpio_defaults_block verilog gl #66

Closed kareefardi closed 1 year ago

kareefardi commented 1 year ago

addresses https://github.com/efabless/caravel-gf180mcu/issues/62 + add openlane configuration file for gpio_defaults_block + add openlane interactive script for gpio_defaults_block

! this was generated after applying the following patch to the pdk - will open an issue for that in gf180 pdk sources:

rg "test_cell" -l | xargs sed -i 's# !SETN # "!SETN" #g'
rg "test_cell" -l | xargs sed -i 's# !RN # "!RN" #g'
kareefardi commented 1 year ago

@RTimothyEdwards If I understand correctly here https://github.com/efabless/caravel-gf180mcu/issues/63#issuecomment-1330879933, you need the assign statements in an expanded form. Is that correct?