efabless / caravel_mgmt_soc_litex

https://caravel-mgmt-soc-litex.readthedocs.io/en/latest/
Apache License 2.0
24 stars 14 forks source link

VexRISCV core missing WFI #36

Open tbialas-riverlane opened 2 years ago

tbialas-riverlane commented 2 years ago

When trying to use interrupts, we tried to use the WFI instruction, however the VexRISCV core did not enter WFI state. This is most likely caused by wfiGenAsWait being set to False and wfiGenAsNop set to True in the VexRISCV rendered configuration. WFI support is very useful when dealing with interrupts. Could the core have WFI Wait enabled? Thank you.