efabless / caravel_mgmt_soc_litex

https://caravel-mgmt-soc-litex.readthedocs.io/en/latest/
Apache License 2.0
25 stars 15 forks source link

add sdc dir for signoff sdcs #80

Closed marwaneltoukhy closed 1 year ago

marwaneltoukhy commented 1 year ago

move sdc generated by openlane to signoff/openlane-signoff rearrange spef dir with rc corners spef