efabless / caravel_user_project

https://caravel-user-project.readthedocs.io
Apache License 2.0
180 stars 330 forks source link

Update gpio_test.c #312

Closed mattvenn closed 11 months ago

mattvenn commented 11 months ago

fix function name closes issues #311

mattvenn commented 11 months ago

actually this doesn't work. The firmware now compiles (was failing before). But now caravel just hangs forever when running set_gpio_user_l