eirikpre / VSCode-SystemVerilog

SystemVerilog support in VS Code
MIT License
126 stars 50 forks source link

Go to definition stop working when Vim extension is installed. [Mac] #197

Closed felixoyzx2010 closed 8 months ago

felixoyzx2010 commented 1 year ago

Hi,

I am experiencing issue where if I install the Vim extension on VScode then when I click on go to definition the blue status bar will keep on moving indicating that it is searching for file forever.

Once I disable the Vim extension then everything works. Is there any known compatibility issue? btw I am using a Mac, and previously when I SSH to a linux box this Combo used to work, but now I have clone the git repo to my local machine and it stop working.

-Felix

joecrop commented 1 year ago

Wow, that's interesting! When it used to work, were you using the VSCode remote SSH extension, or running the VSCode GUI directly on the linux machine? Can you try in a small workspace with just a few files?

On Wed, Jun 28, 2023 at 10:39 AM felixoyzx2010 @.***> wrote:

Hi,

I am experiencing issue where if I install the Vim extension on VScode then when I click on go to definition the blue status bar will keep on moving indicating that it is searching for file forever.

Once I disable the Vim extension then everything works. Is there any known compatibility issue? btw I am using a Mac, and previously when I SSH to a linux box this Combo used to work, but now I have clone the git repo to my local machine and it stop working.

-Felix

— Reply to this email directly, view it on GitHub https://github.com/eirikpre/VSCode-SystemVerilog/issues/197, or unsubscribe https://github.com/notifications/unsubscribe-auth/AAW66QJ6DCPGRSYYUBID75DXNRT4NANCNFSM6AAAAAAZXOJUEQ . You are receiving this because you are subscribed to this thread.Message ID: @.***>

-- Sent from Gmail Mobile