esynr3z / corsair

Control and Status Register map generator for HDL projects
https://corsair.readthedocs.io
MIT License
96 stars 35 forks source link

Register waccess #65

Open jrobrien opened 1 month ago

jrobrien commented 1 month ago

Register waccess output to align with wdata. This delays assertion of waccess by one cycle which aligns strobe with valid wdata. Useful for directly writing to FIFO. See issue #59