etf-unibl / pds-2024

Teaching materials for subject PDS (2024)
MIT License
0 stars 0 forks source link

Create full-subtractor circuit (second method) #25

Closed knezicm closed 1 week ago

knezicm commented 3 weeks ago

Potrebno je realizovati kolo 1-bitnog potpunog oduzimača na osnovu njegove logičke tabele opisom u VHDL jeziku pomoću selekcione konkurentne naredbe. Korišćenjem realizovanog kola strukturnim stilom opisati 4-bitni potpuni oduzimač. Entitet 4-bitnog potpunog oduzimača treba da ima sljedeći izgled:

entity four_bit_full_subtractor is
    port (i_A : in std_logic_vector(3 downto 0);
          i_B : in std_logic_vector(3 downto 0);
          i_C : in std_logic;
          o_SUB : out std_logic_vector(3 downto 0);
          o_C : out std_logic);
end four_bit_full_subtractor;

gdje su i_A i i_B ulazni 4-bitni brojevi, i_C ulazni bit pozajmice, o_SUB izlazna 4-bitna razlika (A-B) i o_C izlazni bit pozajmice.

Više informacija o kolu potpunog oduzimača možete pronaći na linku: https://en.wikipedia.org/wiki/Subtractor

knezicm commented 1 week ago

5 bodova