etf-unibl / pds-2024

Teaching materials for subject PDS (2024)
MIT License
0 stars 0 forks source link

Create comparator of signed numbers #26

Closed knezicm closed 1 week ago

knezicm commented 3 weeks ago

Potrebno je realizovati komparator dva 4-bitna broja, a i b. Brojevi a i b su ulazni portovi tipa std_logic_vector koji se interpretiraju kao označeni podaci. Komparator ima jednobitni izlazni port, agtb, koji se postavlja na visok logički nivo kada je broj a veći od broja b. Za realizaciju koristiti konkurentne naredbe po sopstvenom izboru. Entitet 4-bitnog komparatora treba da ima sljedeći izgled:

entity four_bit_signed_comparator is
    port (i_A : in std_logic_vector(3 downto 0);
          i_B : in std_logic_vector(3 downto 0);
          o_AGTB : out std_logic);
end four_bit_signed_comparator;

gdje su i_A i i_B ulazni 4-bitni brojevi, a o_AGTB izlazni bit koji pokazuje relaciju "veće od".

knezicm commented 1 week ago

5 bodova