fabriciocolombo / sonar-delphi

SonarQube Delphi Plugin
91 stars 46 forks source link

False positive for Empty 'begin' statement rule when simple implementation is used #41

Open egarciasrg opened 8 years ago

egarciasrg commented 8 years ago

When implementation of a method consists on a single instruction to invoke another method, Empty 'begin' statement is being detected.

image