fusesoc / blinky

Example LED blinking project for your FPGA dev board of choice
MIT License
162 stars 70 forks source link

Cannot run blinky #40

Closed lukamac closed 3 years ago

lukamac commented 3 years ago

Hi!

I wanted to try out fusesoc (since I heard so many great things about it) and got stuck at running blinky :(

I have a PYNQ_Z1 board and it is basically the same as PYNQ_Z2 that is supported already in the blinky project. For some reason, when i try to run it with

$ fusesoc run --target=pynq_z2 fusesoc:utils:blinky

I get

ERROR: No tool was supplied on command line or found in 'fusesoc:utils:blinky' core description

which is weird since PYNQ_Z2 has default_tool defined to vivado.

Then I added the --tool=vivado option

$ fusesoc run --tool=vivado --target=pynq_z2 fusesoc:utils:blinky

but I got another error

ERROR: Failed to determine work root. Could not resolve target

Could you please help me.

olofk commented 3 years ago

Sorry to hear it's not working out of the box, and thanks for reporting so we can hopefully fix it.

I don't know right away whay the problem is, but I have a feeling that the error message is a bit misleading. Can you start by runninh fusesoc core show fusesoc:utils:blinky to ensure that the core is found and that there is a target with the name you specified?

lukamac commented 3 years ago

I have done it and among other targets there is PYNQ_Z2:

pynq_z2                        : Pynq-Z2 Zynq Z7020 Evaluation Kit
lukamac commented 3 years ago

Hi! Just updated fusesoc to 1.11.0 and edalize to 0.2.3 and it works now :)

olofk commented 3 years ago

Fantastic. Happy to hear it worked out eventually. Still a bit annoyed that I have absolutely no idea what the problem was before but let's forget about that