g2384 / VHDLFormatter

VHDL formatter web online written in typescript
https://g2384.github.io/VHDLFormatter/
MIT License
51 stars 20 forks source link

Assignment deleted if broken to new line #13

Closed gutelfuldead closed 5 years ago

gutelfuldead commented 5 years ago

Report a bug

If the assignment to a variable is performed on a following line then the assignment operator is removed.

Input

tmp_frame(IPOC_FRAME_TFPH_TFV_IDX to (IPOC_FRAME_TFPH_TFV_IDX + IPOC_TFPH_TFV_LEN-1))          
            := IPOC_TFPH_TFV_VAL

Expected Behavior

tmp_frame(IPOC_FRAME_TFPH_TFV_IDX TO (IPOC_FRAME_TFPH_TFV_IDX + IPOC_TFPH_TFV_LEN - 1)) 
:= IPOC_TFPH_TFV_VAL;

Actual Behavior

tmp_frame(IPOC_FRAME_TFPH_TFV_IDX TO (IPOC_FRAME_TFPH_TFV_IDX + IPOC_TFPH_TFV_LEN - 1)) 
IPOC_TFPH_TFV_VAL;
g2384 commented 5 years ago

Thanks for this. It's fixed now.

gutelfuldead commented 5 years ago

Fantastic thank you! Great tool.