g2384 / VHDLFormatter

VHDL formatter web online written in typescript
https://g2384.github.io/VHDLFormatter/
MIT License
51 stars 20 forks source link

Spaces in port declerations #56

Open efeyitim opened 2 years ago

efeyitim commented 2 years ago

I think std_logic_vectors should be aligned when there are both in and out ports. Also, aligning downto keyword would be better IMO.

Report a bug

Input

-- sample code here

Expected Behavior

entity my_module is
    port (
        clk : in std_logic;
        rst : in std_logic;
        signal1 : in std_logic_vector(7 downto 0);
        signal2 : in std_logic_vector(31 downto 0);
        signal3 : out std_logic_vector(7 downto 0);
        signal4 : out std_logic_vector(31 downto 0);
    );
end my_module;

Actual Behavior

entity my_module is
    port (
        clk     : in std_logic;
        rst     : in std_logic;
        signal1 : in std_logic_vector(7 downto 0);
        signal2 : in std_logic_vector(31 downto 0);
        signal3 : out std_logic_vector(7 downto 0);
        signal4 : out std_logic_vector(31 downto 0);
    );
end my_module;

Request a new feature

As a user, I want to...

Example Input:

-- sample code here

Example Output:

entity my_module is
    port (
        clk     : in  std_logic;
        rst     : in  std_logic;
        signal1 : in  std_logic_vector(7  downto 0);
        signal2 : in  std_logic_vector(31 downto 0);
        signal3 : out std_logic_vector(7  downto 0);
        signal4 : out std_logic_vector(31 downto 0);
    );
end my_module;