g2384 / VHDLFormatter

VHDL formatter web online written in typescript
https://g2384.github.io/VHDLFormatter/
MIT License
51 stars 20 forks source link

Confusing hyphen in std_logic_vector literals don't care with signed number #69

Open Nabav opened 11 months ago

Nabav commented 11 months ago

Report a bug

Input

signal sig_0 <= std_logic_vector(3 downto 0) := "-0---";
signal sig_1 <= std_logic_vector(3 downto 0) := "-1---";

Expected Behavior

signal sig_0 <= std_logic_vector(3 downto 0) := "-0---";
signal sig_1 <= std_logic_vector(3 downto 0) := "-1---";

Actual Behavior

signal sig_0 <= std_logic_vector(3 downto 0) := " - 0---";
signal sig_1 <= std_logic_vector(3 downto 0) := " - 1---";