gatecat / nextpnr-xilinx

Experimental flows using nextpnr for Xilinx devices
ISC License
207 stars 41 forks source link

XC7 ODDR implementation #59

Closed hansfbaier closed 1 year ago

hansfbaier commented 1 year ago

This ODDR implementation already works, but probably still need a bit of polishing. Since prjxray does have no notion of the OLOGIC tile internals, there also is the need to tweak the metadata a bit to be able to route the output: https://github.com/gatecat/nextpnr-xilinx-meta/pull/2 The current implementation has been tested working on a XC7K160T board: https://fosstodon.org/@hansfbaier/109640891984817965

hansfbaier commented 1 year ago

Tested S/R/CE ports, now all working. Ready for review.