ghdl / docker

Scripts to build and use docker images including GHDL
40 stars 10 forks source link

VUnit Docker Image not working #48

Open albydnc opened 9 months ago

albydnc commented 9 months ago

I am trying to run my tests on ghdl/vunit images. Calling GHDL directly works, but both VUnit and Makefile fail. Make output:

root@5ab5d015f669:/wrk# make file
ghdl -a --std=08  file_driver.vhdl
make: ghdl: Operation not permitted
make: *** [Makefile:14: file] Error 127

VUnit output:

root@466176e28305:/wrk/LHCbAurora# python3 run_ci.py *aurora_loopback_tb*
WARNING - /wrk/LHCbAurora/UVVM/uvvm_util/src/rand_pkg.vhd: failed to find library 'cyclic_queue_pkg'
WARNING - /wrk/LHCbAurora/UVVM/bitvis_vip_scoreboard/src/generic_sb_pkg.vhd: failed to find library 'sb_queue_pkg'
Re-compile not needed

Starting aurora_lib.aurora_loopback_tb.loopback_encode_decode
Output file: /wrk/LHCbAurora/vunit_out/test_output/aurora_lib.aurora_loopback_tb.loopback_encode_decode_466902a15048931ee0c2a30e712006ed37783cf8/output.txt
Traceback (most recent call last):
  File "/opt/venv/lib/python3.11/site-packages/vunit/test/runner.py", line 244, in _run_test_suite
    results = test_suite.run(output_path=output_path, read_output=read_output)
              ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
  File "/opt/venv/lib/python3.11/site-packages/vunit/test/list.py", line 105, in run
    test_ok = self._test_case.run(*args, **kwargs)
              ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
  File "/opt/venv/lib/python3.11/site-packages/vunit/test/suites.py", line 72, in run
    results = self._run.run(*args, **kwargs)
              ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
  File "/opt/venv/lib/python3.11/site-packages/vunit/test/suites.py", line 178, in run
    sim_ok = self._simulate(output_path)
             ^^^^^^^^^^^^^^^^^^^^^^^^^^^
  File "/opt/venv/lib/python3.11/site-packages/vunit/test/suites.py", line 237, in _simulate
    return self._simulator_if.simulate(
           ^^^^^^^^^^^^^^^^^^^^^^^^^^^^
  File "/opt/venv/lib/python3.11/site-packages/vunit/sim_if/ghdl.py", line 353, in simulate
    proc = Process(cmd, env=gcov_env)
           ^^^^^^^^^^^^^^^^^^^^^^^^^^
  File "/opt/venv/lib/python3.11/site-packages/vunit/ostools.py", line 134, in __init__
    self._reader.start()
  File "/usr/lib/python3.11/threading.py", line 957, in start
    _start_new_thread(self._bootstrap, ())
RuntimeError: can't start new thread
Exception ignored in: <function Process.__del__ at 0x7fc97f993740>
Traceback (most recent call last):
  File "/opt/venv/lib/python3.11/site-packages/vunit/ostools.py", line 240, in __del__
    self.terminate()
  File "/opt/venv/lib/python3.11/site-packages/vunit/ostools.py", line 234, in terminate
    self._reader.join()
  File "/usr/lib/python3.11/threading.py", line 1107, in join
    raise RuntimeError("cannot join thread before it is started")
RuntimeError: cannot join thread before it is started
fail (P=0 S=0 F=1 T=1) aurora_lib.aurora_loopback_tb.loopback_encode_decode (0.1 seconds)

==== Summary ================================================================
fail aurora_lib.aurora_loopback_tb.loopback_encode_decode (0.1 seconds)
=============================================================================
pass 0 of 1
fail 1 of 1
=============================================================================
Total time was 0.1 seconds
Elapsed time was 0.1 seconds
=============================================================================
Some failed!