ghdl / ghdl-yosys-plugin

VHDL synthesis (based on ghdl)
GNU General Public License v3.0
304 stars 31 forks source link

readme: fix docker usage #106

Closed eine closed 4 years ago

eine commented 4 years ago

As commented in https://github.com/ghdl/ghdl-yosys-plugin/pull/105#issuecomment-616174369.

tgingold commented 4 years ago

Thanks!