ghdl / ghdl-yosys-plugin

VHDL synthesis (based on ghdl)
GNU General Public License v3.0
304 stars 31 forks source link

ERROR: Unsupported(1): instance \<INTEGER> of $mem_multiport. #109

Closed rodrigomelo9 closed 4 years ago

rodrigomelo9 commented 4 years ago

Here you have two examples from ISE, which are synthesized by ghdl --synth but fail with the plugin (same error, with a different <INTEGER> value): tdps.zip (TDP memories).

$DOCKER_CMD ghdl/synth:beta yosys -Q -m ghdl -p "ghdl -fsynopsys asymmetric_ram_2a.vhd -e"

-- Running command `ghdl -fsynopsys asymmetric_ram_2a.vhd -e' --

1. Executing GHDL.
note: top entity is "asymmetric_ram_2a"
asymmetric_ram_2a.vhd:83:11:note: gate i36 drives several gates
asymmetric_ram_2a.vhd:99:11:note: gate i111 drives several gates
Importing module asymmetric_ram_2a.
ERROR: Unsupported(1): instance \116 of $mem_multiport.
tgingold commented 4 years ago

Now fixed.