ghdl / ghdl-yosys-plugin

VHDL synthesis (based on ghdl)
GNU General Public License v3.0
304 stars 31 forks source link

vhdl 2008 ports elaboration #111

Closed rodrigomelo9 closed 4 years ago

rodrigomelo9 commented 4 years ago

And here the last two examples from ISE with problems, which are synthesized by ghdl --synth but fail with the plugin (same error,): spmems.zip (SP memories, using a VHDL08 feature).

$DOCKER_CMD ghdl/synth:beta yosys -Q -m ghdl -p "ghdl --std=08 rams_sp_3d.vhd -e"

-- Running command `ghdl --std=08 rams_sp_3d.vhd -e' --

1. Executing GHDL.
note: top entity is "rams_sp_3d"
rams_sp_3d.vhd:32:8:warning: no assignment for offsets 32768:65535 of signal "mem"
rams_sp_3d.vhd:40:11:note: gate i18 drives several gates

******************** GHDL Bug occurred ***************************
Please report this bug on https://github.com/ghdl/ghdl/issues
GHDL release: 1.0-dev (v0.37.0-337-g8346c712) [Dunoon edition]
Compiled with unknown compiler version
Target: x86_64-linux-gnu
/wrk/
Command line:

Exception SYSTEM.ASSERTIONS.ASSERT_FAILURE raised
Exception information:
raised SYSTEM.ASSERTIONS.ASSERT_FAILURE : netlists-builders.adb:1508
******************************************************************
ERROR: vhdl import failed.
tgingold commented 4 years ago

Now fixed. Thank you for the issue.