ghdl / ghdl-yosys-plugin

VHDL synthesis (based on ghdl)
GNU General Public License v3.0
296 stars 32 forks source link

ERROR: Unsupported(1): instance \165 of $midff. #127

Closed sambazley closed 3 years ago

sambazley commented 3 years ago

This error is produced when compiling:

process (DIN_CLK, CLK_OUT)
begin
    if rising_edge(DIN_CLK) then
        OPUT_RDY <= '1';
    end if;

    if rising_edge(CLK_OUT) then
        OPUT_RDY <= '0';
    end if;
end process;
tgingold commented 3 years ago

The error message could be better but you are trying to synthesize a multi-clock FF, which is not supported by yosys.

sambazley commented 3 years ago

I'll find another way to do it then. Thanks for your help.