ghdl / ghdl-yosys-plugin

VHDL synthesis (based on ghdl)
GNU General Public License v3.0
304 stars 31 forks source link

Mixed synthesis with a Verilog top-level fails when parameters are specified #136

Open rodrigomelo9 opened 3 years ago

rodrigomelo9 commented 3 years ago

Hi. We (@eine) were testing mixed synthesis cases.

ERROR: Module `Blinking' referenced in module `Top' in cell `dut' does not have a parameter named 'SECS'.

I tried also with lowercases (changed to secs), without success.

Files to reproduce the issue here (you can execute run.sh, which uses ghdl/synth:beta).

AndrewD commented 2 years ago

I'm integrating a VHDL module into litex that uses generics - any pointers to if this is a relatively isolated issue I can look in to in the GHDL plugin? Otherwise I'll hack it together with Python in the litex integration...

tgingold commented 2 years ago

No, there is currently no support for setting parameters from verilog. You can wrap the vhdl instances into entities without generics.