ghdl / ghdl-yosys-plugin

VHDL synthesis (based on ghdl)
GNU General Public License v3.0
296 stars 32 forks source link

remove yosys.diff, was upstreamed to YosysHQ/yosys #141

Closed eine closed 3 years ago

eine commented 3 years ago

See YosysHQ/yosys#2514.

BTW, the readme is updated: