ghdl / ghdl-yosys-plugin

VHDL synthesis (based on ghdl)
GNU General Public License v3.0
304 stars 31 forks source link

Add shift functions #54

Closed pepijndevos closed 5 years ago

pepijndevos commented 5 years ago

Does what it says on the tin.

Was also going to add memidx and dyn_extract, but can't really figure out how these are supposed to work and how they map to Yosys.

tmeissner commented 5 years ago

Hehe, have waited for https://github.com/tgingold/ghdlsynth-beta/issues/53#issuecomment-538653356 with my implementation, but okay. I will add a PR for Id_asr later, together with my tests.

pepijndevos commented 5 years ago

haha, okay. I was just about to add tests for this one. I'll let you handle it then :)

Uh... is this build failure something I did? Test pass locally, and didn't do anything particularly weird.

eine commented 5 years ago

Uh... is this build failure something I did? Test pass locally, and didn't do anything particularly weird.

@tgingold, can you please click the 'restart' button on the top right of https://travis-ci.com/tgingold/ghdlsynth-beta/builds/130548250?

@pepijndevos, this will check the changes that Tristan pushed in the last 19h.

tgingold commented 5 years ago

Done. I will check as it could be my mistake.

tgingold commented 5 years ago

@pepijndevos, it was my mistake. You can restart your build.

tgingold commented 5 years ago

Thanks!