ghdl / ghdl-yosys-plugin

VHDL synthesis (based on ghdl)
GNU General Public License v3.0
304 stars 31 forks source link

Use nextpnr instead of arachne-pnr in README.md #88

Closed Xiretza closed 4 years ago

eine commented 4 years ago

Actually, in the README both solutions are shown. arachne is used in the "older example" and nextpnr is shown in the docker example. The purpose is for users to be able to compare the slight differences between both tools. Nonetheless, I acknowledge that this might only be clear for me. Hence, I'm ok with this PR, but instead of completely removing any reference to arachne, I would leave a note about it.

Xiretza commented 4 years ago

Ah, I see. As someone who just uses software as-is without containers wrapped around everything, I completely missed that section ;) I guess I can keep the arachne-pnr line commented out in the source block, but since it's officially deprecated, I don't think too much importance should be put on it.

tgingold commented 4 years ago

Thanks!