gmlarumbe / tree-sitter-systemverilog

Rewrite of tree-sitter-verilog
MIT License
10 stars 3 forks source link

May you add some introduction? #2

Closed yuhaos closed 2 months ago

yuhaos commented 4 months ago

Hi,

I am search for tree-sitter plugin for verilog/systemverilog. "tree-sitter/tree-sitter-verilog" doesn't work well for highlighting. I am wondering how to use "tree-sitter-systemverilog" in nvim. May you kindly add some introduction about this plugin and on how to use it?

Thanks & Regards Yuhao

zhangwwpeng commented 3 months ago

Thanks to the author for the project. Recently i adapted to nvim. now you can run :TSInstall systemverilog. but weak performce for nvim. I will optimize in the future

gmlarumbe commented 2 months ago

Hi @yuhaos ,

I am sorry but I am not a nvim user so I do not think I can help you here.

Thanks @zhangwwpeng for creating the nvim plugin with this grammar. I hope it is useful and efficient enough. I have been using it with Emacs for some months and seems at least as fast as the original tree-sitter-verilog. Let me know if you find any issue or bottleneck.

Thanks!

yuhaos commented 2 weeks ago

Hi @gmlarumbe ,

Thanks for the great work from you and @zhangwwpeng . Sorry for the later reply, the solution works fines in NVIM.

Regards Yuhao