gmlarumbe / vhdl-ts-mode

Emacs VHDL Tree-sitter Major-mode
GNU General Public License v3.0
7 stars 5 forks source link

Typing Accelerators/Aids/Electrification #7

Closed Remillard closed 1 month ago

Remillard commented 3 months ago

These are a few suggestions, though makes me turn off vhdl-ts-mode. I don't know how many of these there are, but it'd be a good idea to investigate.

The one I know about. When writing a comment in vhdl-mode when reaching the end of a line, it will wrap automatically. Additionally if the end of the line is a whitespace, the next line will also be indented. This makes for a smooth typing flow. I noticed it when filling in a module description in the header.

I will add to the list if I find it, though I will admit, I went immediately back to vhdl-mode when I realized what was going on so I might not see things. I will admit, I thought vhdl-ts-mode operated as an applique over vhdl-mode as the documentation seems to suggest everything that vhdl-mode can do is supported, but I think maybe it isn't.

gmlarumbe commented 1 month ago

Hi @Remillard ,

Thanks for reporting an issue and sorry for the time it took me to debug it.

It seems that this is related to #11. After fixing the problem with vhdl-in-comment-p in #14, auto-comment wrapping and comment continuation on a line ending in space seem to work properly inside comments.

I will admit, I thought vhdl-ts-mode operated as an applique over vhdl-mode as the documentation seems to suggest everything that vhdl-mode can do is supported, but I think maybe it isn't.

In theory everything vhdl-mode can do should be supported by vhdl-ts-mode since it is a derived mode. However I have not had the time to test some features and there might be compatibility bugs. If you happen to find more you can report them here and I will try to fix them as soon as I can :)

Thanks!

Remillard commented 1 month ago

Thanks. I'll have an opportunity to start testing this again next week hopefully. Was laid off at my former employment, but have a new FPGA development job starting next week, so will be back in the saddle to try it out! I think most everything I tried in vhdl-mode WAS working, just this weird little comment issue popped up and since I was doing a lot of comment documentation, had to turn off vhdl-ts-mode for a bit and never really got back to it. Will definitely try again once I'm developing actively.