gojimmypi / VerilogLanguageExtension

Verilog Language Extension for Visual Studio
https://marketplace.visualstudio.com/items?itemName=gojimmypi.gojimmypi-verilog-language-extension
MIT License
18 stars 3 forks source link

localparam declaration missing hover text #10

Open gojimmypi opened 4 years ago

gojimmypi commented 4 years ago

for example, the second line here should have the ctr_width declaration as hover text: value ctr_width = 24:

    localparam ctr_width = 24;
    localparam ctr_max = 2**ctr_width - 1;
gojimmypi commented 4 years ago

this is a known issue. workaround is to place a space after the * as the current logic does not properly distinguish comment chars: // and /* and */

    localparam ctr_width = 24;
    localparam ctr_max = 2** ctr_width - 1;