gtaylormb / opl3_fpga

Reverse engineered SystemVerilog RTL version of the Yamaha OPL3 (YMF262) FM Synthesizer
GNU Lesser General Public License v3.0
359 stars 43 forks source link

Short/long disortion on left channel #12

Closed jthecodebuilder closed 2 months ago

jthecodebuilder commented 5 years ago

This might because this is a bug in opl3_fpga-apps or I'm using an older version, but on certain music, the left audio disorts a bit or a lot (especially when there's more than two sound channels being played in MONOTONE).

gtaylormb commented 5 years ago

This might be due to the levels being too high. I've experienced the same thing on my zybo and had to lower them. You can decrease the volume by modifying the i2c init commands to the dac. The DAC might be shitty, not sure.

I bought another pmod DAC and I just need to get around to testing if that has the same issues.

Greg

⁣Sent from Blue ​

On Aug 2, 2018, 3:33 PM, at 3:33 PM, jthecodebuilder notifications@github.com wrote:

This might because this is a bug in opl3_fpga-apps or I'm using an older version, but on certain music, the left audio disorts a bit or a lot (especially when there's more than two sound channels being played in MONOTONE).

-- You are receiving this because you are subscribed to this thread. Reply to this email directly or view it on GitHub: https://github.com/gtaylormb/opl3_fpga/issues/12

gtaylormb commented 5 years ago

For one thing, the output digilent chose to use on the DAC is the amplified headphone output. Wished they chose the line out.

Greg

⁣Sent from Blue ​

On Aug 2, 2018, 4:58 PM, at 4:58 PM, Greg Taylor gtaylor@sonic.net wrote:

This might be due to the levels being too high. I've experienced the same thing on my zybo and had to lower them. You can decrease the volume by modifying the i2c init commands to the dac. The DAC might be shitty, not sure.

I bought another pmod DAC and I just need to get around to testing if that has the same issues.

Greg

⁣Sent from Blue ​

On Aug 2, 2018, 3:33 PM, at 3:33 PM, jthecodebuilder notifications@github.com wrote:

This might because this is a bug in opl3_fpga-apps or I'm using an older version, but on certain music, the left audio disorts a bit or a lot (especially when there's more than two sound channels being played in MONOTONE).

-- You are receiving this because you are subscribed to this thread. Reply to this email directly or view it on GitHub: https://github.com/gtaylormb/opl3_fpga/issues/12

gtaylormb commented 2 months ago

Have not seen this in all recent builds, closing.