hammerlab / epidisco

Personalized cancer epitope discovery and peptide vaccine prediction pipeline
Apache License 2.0
30 stars 6 forks source link

--results-path should verify in CLI that path is absolute #180

Open ihodes opened 7 years ago

ihodes commented 7 years ago

Right now we get a "pipeline compile-time error"; we want the error to be clearer and at the level of the CLI parser.

epi.ml: internal error, uncaught exception:
        (Invalid_argument
          "[\"Path\"]: results/gr9041-1normals-1tumors--hg38 is not an absolute directory path")