hamsternz / FPGA_DisplayPort

An implementation of DisplayPort protocol for FPGAs
MIT License
276 stars 47 forks source link

Convert the core to Verilog #3

Open mithro opened 6 years ago

mithro commented 6 years ago

VHDL is poorly supported by the open source toolchains. Verilog is much better supported.

It would be really awesome if this core was in Verilog instead of VHDL :-P

Then lots of the DisplayPort core could be simulated in Icarus or Verilator to formally proven with something like Yosys.

This is probably a prerequisite for #2.

mithro commented 6 years ago

(I wouldn't mind if it was converted to LiteX / Migen -- or even MyHDL -- instead. They all generates Verilog :-)