hdl / symbolator

Generate symbols from HDL components/modules
https://hdl.github.io/symbolator/
MIT License
16 stars 7 forks source link

Add nix flake for easier installation #10

Open zebreus opened 1 year ago

zebreus commented 1 year ago

This pull request will add support for reproducibly building and running symbolator using the nix package manger. This way you can run symbolator without the need to install any dependencies like PyGObject.

nix run github:zebreus/symbolator