hdl / symbolator

Generate symbols from HDL components/modules
https://hdl.github.io/symbolator/
MIT License
16 stars 7 forks source link

Switch to setup.cfg and use pyHDLParser fork as dependency #8

Open kammoh opened 2 years ago

kammoh commented 2 years ago

Hi, As far as I could see, your pyHDLParser has not yet been published on PyPi. This PR:

Hope it's going to be useful. Please let me know if you'd like any changes.