heechul / memguard

Memory Bandwidth Reservation System for Efficient Performance Isolation in Multi-core Processors
https://github.com/heechul/memguard/wiki/MemGuard
GNU General Public License v2.0
49 stars 32 forks source link

Compilation under 4.4.26 kernel #4

Open moshah opened 7 years ago

moshah commented 7 years ago

Hi,

I have successfully compiled the memguard as a kernel module without any error, but When I am loading it my system crashes and I have to hard reboot the system by removing power supply.

Kindly help me out regarding this issue it is really very important for me.

Thank in advance.

heechul commented 7 years ago

Hi, Two comments:

moshah commented 7 years ago

Hi, I have tried as suggest by you, memguard loads properly. But it seems that it is not working as expected, memguard isn't regulating the memory bandwidth.

Processor is Intel(R) Atom(TM) x5-Z8350 CPU @ 1.44GHz

What might be the issue.

Thanks in advance.