ianharrigan / haxeui-file-dialogs

File dialogs for HaxeUI
7 stars 2 forks source link

build fails.. #12

Open hopewise opened 8 years ago

hopewise commented 8 years ago

Targeting Windows, I tried to use this library, however, I've noticed that build will fail only if I include:

import haxe.ui.dialogs.files.FileDialogs;

Here is the compilation log:

./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(161): error C2259: 'haxe::ui::toolkit::core::interfaces::IStyleableDisplayObject_delegate_<haxe::ui::toolkit::core::renderers::ItemRenderer_obj>': cannot instantiate abstract class
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(161): note: due to following members:
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(161): note: 'Void haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::addEventListener(String,Dynamic,hx::Null<bool>,hx::Null<int>,hx::Null<bool>)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(127): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::addEventListener'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(161): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::addEventListener_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(128): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::addEventListener_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(161): note: 'bool haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::dispatchEvent(openfl::_legacy::events::Event)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(129): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::dispatchEvent'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(161): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::dispatchEvent_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(130): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::dispatchEvent_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(161): note: 'bool haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::hasEventListener(String)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(131): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::hasEventListener'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(161): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::hasEventListener_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(132): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::hasEventListener_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(161): note: 'Void haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::removeEventListener(String,Dynamic,hx::Null<bool>)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(133): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::removeEventListener'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(161): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::removeEventListener_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(134): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::removeEventListener_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(161): note: 'bool haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::willTrigger(String)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(135): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::willTrigger'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(161): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::willTrigger_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(136): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::willTrigger_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(165): error C2259: 'haxe::ui::toolkit::core::interfaces::IComponent_delegate_<haxe::ui::toolkit::core::renderers::ItemRenderer_obj>': cannot instantiate abstract class
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(165): note: due to following members:
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(165): note: 'Void haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::addEventListener(String,Dynamic,hx::Null<bool>,hx::Null<int>,hx::Null<bool>)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(127): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::addEventListener'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(165): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::addEventListener_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(128): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::addEventListener_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(165): note: 'bool haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::dispatchEvent(openfl::_legacy::events::Event)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(129): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::dispatchEvent'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(165): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::dispatchEvent_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(130): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::dispatchEvent_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(165): note: 'bool haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::hasEventListener(String)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(131): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::hasEventListener'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(165): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::hasEventListener_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(132): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::hasEventListener_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(165): note: 'Void haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::removeEventListener(String,Dynamic,hx::Null<bool>)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(133): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::removeEventListener'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(165): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::removeEventListener_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(134): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::removeEventListener_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(165): note: 'bool haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::willTrigger(String)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(135): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::willTrigger'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(165): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::willTrigger_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(136): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::willTrigger_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(171): error C2259: 'haxe::ui::toolkit::core::interfaces::IStateComponent_delegate_<haxe::ui::toolkit::core::renderers::ItemRenderer_obj>': cannot instantiate abstract class
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(171): note: due to following members:
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(171): note: 'Void haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::addEventListener(String,Dynamic,hx::Null<bool>,hx::Null<int>,hx::Null<bool>)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(127): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::addEventListener'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(171): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::addEventListener_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(128): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::addEventListener_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(171): note: 'bool haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::dispatchEvent(openfl::_legacy::events::Event)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(129): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::dispatchEvent'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(171): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::dispatchEvent_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(130): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::dispatchEvent_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(171): note: 'bool haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::hasEventListener(String)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(131): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::hasEventListener'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(171): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::hasEventListener_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(132): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::hasEventListener_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(171): note: 'Void haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::removeEventListener(String,Dynamic,hx::Null<bool>)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(133): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::removeEventListener'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(171): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::removeEventListener_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(134): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::removeEventListener_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(171): note: 'bool haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::willTrigger(String)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(135): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::willTrigger'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(171): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::willTrigger_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(136): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::willTrigger_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(173): error C2259: 'haxe::ui::toolkit::core::interfaces::IDisplayObjectContainer_delegate_<haxe::ui::toolkit::core::renderers::ItemRenderer_obj>': cannot instantiate abstract class
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(173): note: due to following members:
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(173): note: 'Void haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::addEventListener(String,Dynamic,hx::Null<bool>,hx::Null<int>,hx::Null<bool>)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(127): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::addEventListener'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(173): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::addEventListener_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(128): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::addEventListener_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(173): note: 'bool haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::dispatchEvent(openfl::_legacy::events::Event)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(129): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::dispatchEvent'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(173): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::dispatchEvent_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(130): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::dispatchEvent_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(173): note: 'bool haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::hasEventListener(String)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(131): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::hasEventListener'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(173): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::hasEventListener_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(132): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::hasEventListener_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(173): note: 'Void haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::removeEventListener(String,Dynamic,hx::Null<bool>)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(133): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::removeEventListener'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(173): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::removeEventListener_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(134): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::removeEventListener_dyn'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(173): note: 'bool haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::willTrigger(String)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(135): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::willTrigger'
./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp(173): note: 'Dynamic haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::willTrigger_dyn(void)': is abstract
H:\repositories\project-app\Export\windows\cpp\obj\include\haxe/ui/toolkit/core/interfaces/IDisplayObject.h(136): note: see declaration of 'haxe::ui::toolkit::core::interfaces::IDisplayObject_obj::willTrigger_dyn'

ComponentItemRenderer.cpp
ClassManager.cpp
Macros.cpp
Toolkit.cpp
PopupManager.cpp
DateSelector.cpp
Build halted with errors.
ianharrigan commented 8 years ago

Could this be related? https://github.com/ianharrigan/haxeui/issues/282

hopewise commented 8 years ago

I don't know, how to check? On 1 Nov 2015 11:51, "Ian Harrigan" notifications@github.com wrote:

Could this be related? ianharrigan/haxeui#282 https://github.com/ianharrigan/haxeui/issues/282

— Reply to this email directly or view it on GitHub https://github.com/ianharrigan/haxeui-file-dialogs/issues/12#issuecomment-152813638 .

ianharrigan commented 8 years ago

I think the best thing to do is to downgrade to haxe 3.2.0 and see if that fixes the problem, thats what im running here and all seems fine