imc-trading / svlangserver

MIT License
95 stars 13 forks source link

go to definiton support for class #13

Closed raytroop closed 2 years ago

raytroop commented 2 years ago

I have test it in vim+coc.nvim and vscode. go-to-definition for class neither work. Is this expected ?

Thanks.

kkanhere commented 2 years ago

Yes. Right now none of the verification constructs are well supported.

raytroop commented 2 years ago

I see, I'm looking forward for this feature. Thanks