jeremiah-c-leary / vhdl-style-guide

Style guide enforcement for VHDL
GNU General Public License v3.0
182 stars 38 forks source link

Issue#1006: Minor documentation fixes #1007

Closed JHertz5 closed 10 months ago

JHertz5 commented 12 months ago

Description Resolves #1006. Warnings that I've corrected:

Warnings that I've ignored:

Screenshots None

Additional context None

codecov[bot] commented 12 months ago

Codecov Report

Patch and project coverage have no change.

Comparison is base (92d966d) 95.87% compared to head (e943fd5) 95.87%.

Additional details and impacted files ```diff @@ Coverage Diff @@ ## master #1007 +/- ## ======================================= Coverage 95.87% 95.87% ======================================= Files 1500 1500 Lines 28262 28262 ======================================= Hits 27095 27095 Misses 1167 1167 ```

:umbrella: View full report in Codecov by Sentry.
:loudspeaker: Have feedback on the report? Share it here.

jeremiah-c-leary commented 10 months ago

Afternoon @JHertz5 ,

Thanks for the documentation updates. I typically do not build from scratch so I tend to miss these issues.

I will merge this to master.

--Jeremy