jeremiah-c-leary / vhdl-style-guide

Style guide enforcement for VHDL
GNU General Public License v3.0
182 stars 38 forks source link

Issue#988: Fixing comment_line_ends_group option for alignment rules. #1026

Closed jeremiah-c-leary closed 10 months ago

jeremiah-c-leary commented 10 months ago

Resolves #988

codecov[bot] commented 10 months ago

Codecov Report

All modified and coverable lines are covered by tests :white_check_mark:

Comparison is base (4e03d02) 96.11% compared to head (498df18) 96.15%.

Additional details and impacted files ```diff @@ Coverage Diff @@ ## master #1026 +/- ## ========================================== + Coverage 96.11% 96.15% +0.03% ========================================== Files 1509 1509 Lines 28345 28345 ========================================== + Hits 27245 27254 +9 + Misses 1100 1091 -9 ``` | [Files](https://app.codecov.io/gh/jeremiah-c-leary/vhdl-style-guide/pull/1026?src=pr&el=tree&utm_medium=referral&utm_source=github&utm_content=comment&utm_campaign=pr+comments&utm_term=Jeremiah+Leary) | Coverage Δ | | |---|---|---| | [...ween\_tokens\_skipping\_lines\_starting\_with\_tokens.py](https://app.codecov.io/gh/jeremiah-c-leary/vhdl-style-guide/pull/1026?src=pr&el=tree&utm_medium=referral&utm_source=github&utm_content=comment&utm_campaign=pr+comments&utm_term=Jeremiah+Leary#diff-dnNnL3J1bGVzL2FsaWduX3Rva2Vuc19pbl9yZWdpb25fYmV0d2Vlbl90b2tlbnNfc2tpcHBpbmdfbGluZXNfc3RhcnRpbmdfd2l0aF90b2tlbnMucHk=) | `100.00% <100.00%> (+6.20%)` | :arrow_up: | | [vsg/rules/entity/rule\_020.py](https://app.codecov.io/gh/jeremiah-c-leary/vhdl-style-guide/pull/1026?src=pr&el=tree&utm_medium=referral&utm_source=github&utm_content=comment&utm_campaign=pr+comments&utm_term=Jeremiah+Leary#diff-dnNnL3J1bGVzL2VudGl0eS9ydWxlXzAyMC5weQ==) | `100.00% <ø> (ø)` | |

:umbrella: View full report in Codecov by Sentry.
:loudspeaker: Have feedback on the report? Share it here.