jeremiah-c-leary / vhdl-style-guide

Style guide enforcement for VHDL
GNU General Public License v3.0
179 stars 38 forks source link

Issue#1059: Performance improvements: #1064

Closed jeremiah-c-leary closed 3 months ago

jeremiah-c-leary commented 7 months ago

Resolves #1059

codecov[bot] commented 7 months ago

Codecov Report

Attention: Patch coverage is 93.97590% with 5 lines in your changes are missing coverage. Please review.

Project coverage is 96.54%. Comparing base (baf22da) to head (e37b692). Report is 13 commits behind head on master.

:exclamation: Current head e37b692 differs from pull request most recent head e60b4e1. Consider uploading reports for the commit e60b4e1 to get more accurate results

Files Patch % Lines
vsg/vhdlFile/utils.py 75.00% 4 Missing :warning:
vsg/rules/multiline_structure.py 50.00% 1 Missing :warning:
Additional details and impacted files ```diff @@ Coverage Diff @@ ## master #1064 +/- ## ========================================== + Coverage 94.01% 96.54% +2.53% ========================================== Files 1557 1530 -27 Lines 29028 28839 -189 Branches 3414 0 -3414 ========================================== + Hits 27291 27844 +553 + Misses 1303 995 -308 + Partials 434 0 -434 ```

:umbrella: View full report in Codecov by Sentry.
:loudspeaker: Have feedback on the report? Share it here.