jeremiah-c-leary / vhdl-style-guide

Style guide enforcement for VHDL
GNU General Public License v3.0
187 stars 38 forks source link

Error in the docstring for `iteration_scheme_501` #1084

Closed JHertz5 closed 9 months ago

JHertz5 commented 9 months ago

Environment State installed version of this project and your OS information.

$ ./bin/vsg -v
VHDL Style Guide (VSG) version: 3.20.0
Git commit SHA: 22beaa35

Describe the bug When running vsg_rule_doc_gen, the "Iteration Scheme Rules" page's entry for iteration_scheme_501 changes its description from "This rule checks the for keyword has proper case." (correct) to "This rule checks the while keyword has proper case." (incorrect). This error originates from the docstring in vsg/rules/iteration_scheme/rule_501.py.

JHertz5 commented 9 months ago

I have had a go at solving this in PR #1085. Any feedback is welcome.

jeremiah-c-leary commented 9 months ago

Evening @JHertz5 ,

I just added a test for the iteration_scheme documentation, othewise it looks good.

I will merge this to master.

Happy New Year,

--Jeremy