jeremiah-c-leary / vhdl-style-guide

Style guide enforcement for VHDL
GNU General Public License v3.0
177 stars 38 forks source link

Issue#1097: Fixing failure count and removing classname from JUnit output #1114

Closed jeremiah-c-leary closed 5 months ago

jeremiah-c-leary commented 5 months ago

Resolves #1097

codecov[bot] commented 5 months ago

Codecov Report

Attention: 2 lines in your changes are missing coverage. Please review.

Comparison is base (d056050) 96.54% compared to head (3e37432) 96.56%.

Files Patch % Lines
vsg/junit.py 83.33% 1 Missing :warning:
vsg/rule_list.py 66.66% 1 Missing :warning:
Additional details and impacted files ```diff @@ Coverage Diff @@ ## master #1114 +/- ## ========================================== + Coverage 96.54% 96.56% +0.01% ========================================== Files 1540 1540 Lines 28930 28934 +4 ========================================== + Hits 27930 27939 +9 + Misses 1000 995 -5 ```

:umbrella: View full report in Codecov by Sentry.
:loudspeaker: Have feedback on the report? Share it here.