jeremiah-c-leary / vhdl-style-guide

Style guide enforcement for VHDL
GNU General Public License v3.0
177 stars 38 forks source link

Issue#1107: Adding option to process_028 to allow for alignment of close parenthesis. #1116

Closed jeremiah-c-leary closed 5 months ago

jeremiah-c-leary commented 5 months ago

Resolves #1107

codecov[bot] commented 5 months ago

Codecov Report

All modified and coverable lines are covered by tests :white_check_mark:

Comparison is base (1ba9088) 96.60% compared to head (0f6349d) 96.60%.

Additional details and impacted files ```diff @@ Coverage Diff @@ ## master #1116 +/- ## ======================================= Coverage 96.60% 96.60% ======================================= Files 1544 1544 Lines 29069 29072 +3 ======================================= + Hits 28081 28084 +3 Misses 988 988 ```

:umbrella: View full report in Codecov by Sentry.
:loudspeaker: Have feedback on the report? Share it here.