jeremiah-c-leary / vhdl-style-guide

Style guide enforcement for VHDL
GNU General Public License v3.0
177 stars 38 forks source link

Issue#1124: Rule port_026 would miss closing parenthesis. #1125

Closed jeremiah-c-leary closed 4 months ago

jeremiah-c-leary commented 5 months ago

Resolves #1124

codecov[bot] commented 5 months ago

Codecov Report

All modified and coverable lines are covered by tests :white_check_mark:

Comparison is base (de5a316) 96.63% compared to head (5f8bb82) 96.64%.

Additional details and impacted files ```diff @@ Coverage Diff @@ ## master #1125 +/- ## ======================================= Coverage 96.63% 96.64% ======================================= Files 1546 1546 Lines 29167 29166 -1 ======================================= + Hits 28186 28187 +1 + Misses 981 979 -2 ```

:umbrella: View full report in Codecov by Sentry.
:loudspeaker: Have feedback on the report? Share it here.