jeremiah-c-leary / vhdl-style-guide

Style guide enforcement for VHDL
GNU General Public License v3.0
187 stars 38 forks source link

interface_list reprocesses the same interface_element multiple times #1132

Closed jfcliche closed 6 months ago

jfcliche commented 7 months ago

Environment vsg tag 3.21.0, on Ubuntu 22.04.3 LTS

Describe the bug In vsg.vhdlFile.classify.interface_list.py line 20, interface_element.classify() always starts processing successive elements from token position iToken instead of the current position iCurrent.

Unless I missed something, replace line by: iCurrent = interface_element.classify(iCurrent, lObjects)

This does not seem to affect the final object tree. The processed items get reprocessed multiple times, but are probably skipped because they are not basic parser.item. But it did affect my experimental tagging of design entities which relies on proper token indices.

To Reproduce Was detected only by adding print statements to show the processing positions in classify.interface_list

jeremiah-c-leary commented 7 months ago

Morning @jfcliche ,

Good catch. I made the update and pushed it to the issue-1132 branch. When you get a chance could you validate the change?

Thanks,

--Jeremy

jeremiah-c-leary commented 6 months ago

Morning @jfcliche ,

I am going to merge this to master.

--Jeremy