jeremiah-c-leary / vhdl-style-guide

Style guide enforcement for VHDL
GNU General Public License v3.0
175 stars 38 forks source link

docs: Typos #1143

Closed vornkat-iis closed 3 months ago

vornkat-iis commented 3 months ago

Some minor errors in the docs which took me some minutes to figure out:

docs/tool_integration/pre-commit.rst

Should be (without a v in the tag) two times on that page:

repos:
  - repo: https://github.com/jeremiah-c-leary/vhdl-style-guide
    rev: 3.18.0
    hooks:
      - id: vsg

docs/tool_integration/generic.rst

Should be --all_phases instead of --all-phases.

Just some nits to a tool which was great to setup and we are so far very happy to use! Thank you for providing it!

jeremiah-c-leary commented 3 months ago

Evening @vornkat-iis ,

Just some nits to a tool which was great to setup and we are so far very happy to use! Thank you for providing it!

Thanks for the kind words. It's nice to hear that my work is appreciated.

I committed the change to the issue-1143 branch. When you get a chance could you check out the documentation updates on your end and let me know if it looks good to you?

Thanks,

--Jeremy

vornkat-iis commented 3 months ago

Hi @jeremiah-c-leary,

yes, this is exactly what I meant, thanks for the fast response! Approved :)

Happy coding!

jeremiah-c-leary commented 3 months ago

Morning @vornkat-iis ,

Awesome, I will get his merged to master.

--Jeremy